Вопросы по теме 'yosys'

ошибка при выполнении abc в yosys (win32)
Я получаю следующую ошибку при использовании команды abc -liberty cmos_cells.lib (логическое сопоставление). Я смог создать карту памяти, карту fsm и карту памяти для кода Veriog. dfflibmap запускается без проблем. щелкните, чтобы увидеть...
77 просмотров
schedule 29.05.2022

запуск ABC внутри YOSYS возвращает 'Предупреждение: обнаружены 1 многопозиционные ворота'
При использовании YOSYS я вызываю команду abc: abc -liberty mycells3.lib mycells3.lib - это простая пользовательская технологическая библиотека, основанная на примере библиотеки, представленной в дистрибутиве YOSYS, где я добавил ячейку ADDER...
207 просмотров
schedule 15.02.2022

(ледяной шторм) контакты, не указанные в pcf, остаются высокоимпедансными
как заголовок, кроме того, как указать другой уровень напряжения для несимметричного входа / выхода, например, LVCMOS18 - я предполагаю, увы, что это не так просто, как просто дополнительный глагол в записи pcf ...
373 просмотров
schedule 26.10.2022

Как соединить шину Verilog с отдельными проводами с помощью Yosys
Здесь простой вопрос. Есть ли в Yosys метод сглаживания массивов? то есть: wire [1:0] rdata; становится wire rdata_1; wire rdata_0;
207 просмотров
schedule 07.06.2024

Как написать назначение std_logic_vector с диапазоном, зависящим от ввода, в VHDL?
Я пытаюсь скопировать некоторую часть std_logic_vector в другую в позиции (индексе) в зависимости от ввода. Это можно синтезировать в Vivado, но я хочу использовать другой инструмент (SymbiYosys, https://github.com/YosysHQ/SymbiYosys ) для...
1757 просмотров
schedule 19.12.2022

Совместимые элементы Yosys и Synplify
Я хотел бы написать Verilog, который можно было бы синтезировать либо с помощью yosys (предпочтительно), либо с помощью цепочки инструментов Lattice Radiant с использованием Synplify (например, для зашифрованного IP-адреса из Lattice). Большинство...
92 просмотров
schedule 26.03.2022

Есть ли возможность синтезировать некоторый код во встроенные примитивы Verilog?
Я думал, что techmap без аргументов сделает это, но этого не произошло. вероятно, я неправильно понимаю, что означает «логический синтез». основной пример: AND_GATE.v: module AND_GATE( input A, input B, output X); assign X = A &...
59 просмотров
schedule 18.03.2023