Вопросы по теме 'systemc'

verilog или systemc для тестового стенда
Мне поручено проверить некоторый RTL-код на основе Verilog. Теперь кодирование тестового стенда RTL с использованием Verilog кажется очень сложным (для меня). Поэтому я хотел бы попробовать один из следующих. - Попробуйте предоставить интерфейс PLI...
2059 просмотров

Может ли SystemC отображать схемы в виде чертежей?
Мне нужно спроектировать несколько цифровых схем, но рисование их вручную убивает меня. Я искал более простой способ сделать это и нашел VHDL и, что более интересно, SystemC. Последний довольно приятный и простой для понимания, но мне нужно иметь...
315 просмотров
schedule 03.01.2024

Как отключить предупреждения времени выполнения SystemC?
Я успешно скомпилировал приложение SystemC, которое использую для имитации ЦП при работе на общей архитектуре. Что ж, моя проблема заключается в том, что при запуске приложения для создания файла VCD ядро ​​​​SystemC выводит мне несколько...
2085 просмотров
schedule 26.03.2023

Ошибка SystemC при использовании Visual C++ 2008
Я использую systemC с Visual C++ 2008. Я написал простую программу hello world. Однако я неоднократно получаю эту ошибку: предупреждение C4996: 'sprintf': эта функция или переменная могут быть небезопасными. Почему это происходит? Буду...
345 просмотров
schedule 28.03.2023

SystemC 2.3.0 поддерживает моделирование доменов мощности и абстрактных планировщиков.
новая библиотека SystemC 2.3.0 была выпущена в июле 2012 года. Сообщалось, что она может поддерживать моделирование таких концепций, как домены мощности и абстрактные планировщики. Кто-нибудь проверял или работал над тем, как SystemC 2.3.0 может...
244 просмотров
schedule 22.06.2022

в то время как (а == б); против пока (а == б) {;}
Есть ли разница между следующими фрагментами кода: while (a==b); while (a==b) {;} Влияет ли это на компилятор или время выполнения? Предпосылки для этого вопроса: В настоящее время FW использует код 1, где a или b на самом деле...
1421 просмотров
schedule 30.08.2022

Как удалить текст запуска SystemC
Я хочу удалить следующее сообщение при запуске любой симуляции systemc: «SystemC 2.3.0-ASI --- 18 июня 2013 г. 16:21:08 Copyright (c) 1996-2012 всеми участниками, ВСЕ ПРАВА ЗАЩИЩЕНЫ» Вы знаете, где это делается, чтобы я мог их прокомментировать?
485 просмотров
schedule 06.10.2022

Система C - Чтение в шине данных по одному биту за раз
У меня есть простой блок, написанный на System C, который принимает два массива 10x10 и выполняет умножение матриц на них для получения вывода 10x1. Проблема, с которой я сталкиваюсь, заключается в том, что эти массивы 10x10 фактически хранятся как...
343 просмотров
schedule 23.05.2022

SystemC: SC_THREAD не запускается
Моя простая программа "HelloWorld" не работает. Программы печатают обычное уведомление об авторских правах SystemC, но не строку «Hello World»). Если я напишу аналогичную программу, используя SC_METHOD (удалив wait вызовы), я смогу увидеть...
648 просмотров
schedule 23.06.2022

Ошибка компоновщика компилятора UVM-SystemC Mac
Я пытаюсь вручную скомпилировать некоторые примеры UVM, используя clang++. UVM-SystemC-1.0 был успешно установлен (очевидно, он также запускал эти примеры в качестве тестов). Однако, когда я компилирую эти примеры с помощью команды clang++...
188 просмотров
schedule 21.02.2023

Сценарий запуска примера UVM-SystemC не работает
Я разработал perl-скрипт для запуска примеров кода UVM-SystemC. #!/usr/bin/perl use warnings; use strict; sub main(); my $CLIBS = "\$SYSTEMC_HOME/lib-linux64"; my $UVMCLIBS = "\$UVMSYSTEMC_HOME/lib-linux64"; my $CINC =...
175 просмотров
schedule 13.08.2022

Как получить время следующего события в SystemC
Есть ли в SystemC функция, которая возвращает время следующего события? Или если не существует, то как его реализовать? Например, у меня есть модель часов с частотой 1 МГц, и я запускаю модель с sc_start(100, SC_NS) . Следующее запланированное...
165 просмотров
schedule 18.01.2023

объединить биты С++
Я ищу элегантный С++, использующий SystemC для объединения битов. Пример System Verilog в одной красивой строке: bool my_variable; uint bits_combination = {8'b0, {8{my_variable}}, 8'b1, 4'b0, 2'b1, 2'b0}; Мое лучшее решение для С++:...
1686 просмотров
schedule 07.12.2022

Реализация сбоя сборки SystemC TLM Testbench
Я пытаюсь реализовать базовый тестовый стенд SystemC TLM для модуля сумматора, который я создал с использованием базовых simple_initiator_socket и simple_target_socket . В настоящее время сборка дает сбой, и мне трудно понять, почему. Вот...
402 просмотров
schedule 05.04.2023

Сокеты модели уровня передачи SystemC: двусторонняя связь
В документации Doulos SystemC Transfer Level Model написано Модуль верхнего уровня иерархии создает экземпляр одного инициатора и одной памяти и связывает сокет инициатора в инициаторе с целевым сокетом в целевой памяти. Сокеты инкапсулируют все...
602 просмотров
schedule 06.08.2022

Поведение Verilog оператора IF с недопустимым значением в условии
Если оператор IF в Verilog имеет недопустимое значение в условии, оценивается только ветвь else. (В симуляции.) Например, ниже в модуле SimpleIfStatement2b, если a = 1'bx: b=0'b1 Я искал в стандарте Vegilog-2005 такое поведение и не нашел....
1722 просмотров
schedule 19.12.2022

Проблема с отслеживанием сигналов в SystemC-AMS
Я реализовал генератор синусоидального сигнала в SystemC-AMS и хочу визуализировать его выходные данные за определенный период времени. Для этого я хочу создать файл vcd. Код генератора и основная функция показаны ниже. У меня проблема в том, что...
204 просмотров
schedule 29.10.2023

Как запустить Qt-интерфейс моделирования SystemC
Я пишу графический интерфейс на Qt, где у меня есть: QApplication app(argc, argv); который вызывается: app.exec(); Что возвращается только после завершения работы приложения. Для выполнения симуляции SystemC внутри приложения...
91 просмотров
schedule 13.04.2022

Задержка в один тактовый цикл при обмене данными между одним SC_CTHREAD и другим SC_CTHREAD.
Я пытаюсь смоделировать простой кеш с прямым отображением с модулем основной памяти, который является sc_cthread , и конечным автоматом основной памяти, который также является SC_CTHREAD . Я наблюдаю задержку в один такт от записи сигнала из моего...
77 просмотров
schedule 20.06.2023

в чем разница между моделью потока данных и кодированием в стиле RTL в verilog/SystemC
В моей школе я изучал, что обе модели используются в одной и той же перспективе, но когда я просматривал онлайн, были страницы, на которых даны некоторые советы по преобразованию моделей потока данных в модели RTL, так что может ли кто-нибудь...
307 просмотров
schedule 06.05.2023