Вопросы по теме 'synopsys-vcs'

Динамическая длина параллельной последовательности утверждений SystemVerilog
У меня есть массив длины x. Выходными сигналами для данного тестового стенда будет каждое значение в массиве в соответствующем порядке от 0: x-1. В частности, в моей проблеме массив - это коэффициенты фильтра, а тестовая среда - это импульсная...
211 просмотров

Запуск команды для нескольких файлов с использованием сценария TCL
Я использую определенный инструмент (Synopsys Design Vision), который я запускаю с помощью сценария TCL. Команда примерно такая analyze -library work -format verilog {/user/codes/abcd.v } Теперь у меня есть папка с 5000 файлами расширения .v...
39 просмотров
schedule 13.05.2023