Вопросы по теме 'spartan'

Создание ПЗУ с одним портом на Spartan 6 с помощью Xilinx ISE Design Suite
У меня возникли проблемы с проектированием однопортового ПЗУ на плате Spartan 6. Я использую предоставленный основной генератор для создания блочной памяти и выбираю ПЗУ с одним портом с шириной 32 бита и глубиной 256 с файлом coe, который просто...
2383 просмотров
schedule 08.11.2022

Определение начала кадра
Я реализовал передатчик и приемник HDMI на плате Atlys Spartan 6. Он работает правильно. Я использую 1080p @ 60 Гц. Плата берет один пиксель, декодирует его, кодирует обратно и отправляет на монитор. Теперь мне нужно определить начало нового...
557 просмотров
schedule 13.07.2023

Хранение массива в FPGA
Я пытаюсь реализовать простой множитель. У меня есть текстовый файл, из которого есть два столбца. Я умножаю столбец 1 на столбец 2. Вот код в Verilog: module File_read( input clk ); reg [21:0] captured_data[0:10]; reg [21:0] a[0:8]; reg [21:0]...
1223 просмотров
schedule 27.03.2023

Использование внешней оперативной памяти Spartan 6 SP605 VHDL?
Я новичок в использовании VHDL и столкнулся с проблемой в своем проекте. Я пытаюсь сделать FPGA для преобразования из одного протокола связи в другой, и для этой цели было бы полезно иметь возможность хранить (надеюсь, несколько) пакетов перед...
815 просмотров
schedule 24.07.2022

Сериализация кода в VHDL
Я пытаюсь создать (очень простой) графический процессор на ПЛИС Spartan-6 с использованием VHDL. Большая проблема, с которой я столкнулся, заключается в том, что мое понимание HDL довольно ограничено — я писал свой код, используя вложенные циклы...
795 просмотров
schedule 04.01.2024

Тайминги памяти для Spartan 7 4:1 Mig Generated DDR3 interface
Я пытаюсь понять тайминги записи в память для FPGa 7-й серии, используя пользовательский интерфейс для контроллера памяти, созданного MIG (работающего на скорости 4:1). Я использую документацию ug586 . от Ксилиникса. Особенно я пытаюсь понять...
256 просмотров
schedule 21.04.2024

Причина предупреждений о несвязанных узлах в коде Verilog
Я пишу код, выполняющий метод трапецеидального интегрирования. В коде есть часы FPGA (я использую Mimas Spartan 6), SIGNAL (новая точка, которую необходимо учитывать при интегрировании), x (интервал между точками) и SUM (результат прошлых...
100 просмотров

Можно ли переключаться между несимметричным и дифференциальным вводом-выводом «на лету» (после настройки) в Xilinx Spartan-6 FPGA
Я пишу код Verilog для FPGA Sparatn-6 Xilinx, в котором я хотел бы перенастроить ввод-вывод во время «времени выполнения», в частности, между несимметричными и дифференциальными буферами ввода-вывода. Я прочитал список примитивов буфера...
392 просмотров
schedule 10.06.2022