Вопросы по теме 'nios'

Как преобразовать 4-битный шестнадцатеричный в 7-битный ASCII?
Задача состоит в том, чтобы изучить программирование на ассемблере, написав подпрограмму, которая может преобразовывать 4-битный шестнадцатеричный код в 7-битный ASCII. Сначала я понятия не имел, но после некоторых исследований я мог приложить усилия...
20998 просмотров
schedule 13.04.2023

Аппаратное или программное прерывание или исключение по команде прерывания?
В руководстве говорится Инструкция по ловушке Когда программа выдает команду прерывания, процессор генерирует исключение программного прерывания. Программа обычно выдает программную ловушку, когда программа требует обслуживания операционной...
3011 просмотров

Система NIOS II + логика ШИМ
Я новичок в разработке систем с FPGA, VHDL и NIOS II, и это мой первый пост на этом форуме. Я пытаюсь разработать систему с системой NIOS II + некоторые PWM, разработанные с использованием VHDL. Проблема в том, что я не уверен, как управлять этими...
779 просмотров
schedule 28.12.2022

Числа Фибоначчи на ассемблере Nios 2
У меня есть домашнее задание из моего класса компьютерной архитектуры, в котором мы должны реализовать программу чисел Фибоначчи. Это выглядит так: Напишите программу, которая будет хранить определенное количество чисел Фибоначчи. Единственное,...
622 просмотров
schedule 15.11.2023

Что я должен изменить для загрузки Linux 4.9 с Nios2?
Я прочитал руководство и использовал buildroot для создания образов. $ ls buildroot/output/images/ rootfs.cpio rootfs.jffs2 rootfs.tar Затем я собрал u-boot: ~/nios2/u-boot-socfpga$ ls -al u-boot -rwxrwxr-x 1 developer developer...
443 просмотров
schedule 29.11.2022

я получаю наблюдатель типа ошибки, который не может быть разрешен, когда наблюдатель является классом
У меня возникла проблема с использованием предварительно написанного кода шаблона проектирования obvserver для C++. В методе присоединения говорится, что тип Observer не может быть разрешен, когда он объявлен как класс. Кто-нибудь может помочь мне...
101 просмотров
schedule 04.11.2022

Проблема с использованием оператора деления в Quartus с использованием VHDL
Нам нужно разделить два целых числа с помощью VHDL и запустить на FPGA. Ниже приведен код, который мы написали для сложения целых чисел, и он скомпилирован в Quartus, но когда мы попытались скомпилировать код деления, он выдал ошибку: Ошибка...
96 просмотров
schedule 25.09.2022