Вопросы по теме 'myhdl'

Лучшая платформа для преобразования программного обеспечения в VHDL / Verilog для FPGA
Я подумываю о разработке на FPGA, но мне было бы проще написать код на Python или Scala и преобразовать его в VHDL или Verilog. Я хочу, чтобы к устройству было подключено много датчиков, и по мере поступления данных расчеты выполняются очень...
4428 просмотров
schedule 28.04.2023

ограничения myhdl, связывающие несколько выводов с переменной
Я буду использовать iCE40HX8K. учитывая файл ограничений оценочных плат set_io LED3 A2 set_io LED7 B3 ... etc как лучше всего объединить все 8 светодиодов в одну переменную. У меня были проблемы с ассоциацией вещей с моим файлом...
54 просмотров
schedule 18.12.2022

Пакет python myhdl, как создать начальный блок Verilog
Из кода в основном из образца myhdl: from myhdl import Signal, intbv, delay, always, now, Simulation, toVerilog __debug = True def ClkDriver(clk): halfPeriod = delay(10) @always(halfPeriod) def driveClk(): clk.next = not clk...
236 просмотров
schedule 15.10.2022