Вопросы по теме 'lattice-diamond'

Решетка Алмаз: Настройка часов
Я работаю над изучением Verilog и работаю с CPLD, и я застрял. Код, который я написал, переключает светодиод, но во время синтеза я продолжаю получать предупреждения. //toggles LED on and off after 1000000 clock cycles module LEDON( LED, clk );...
5919 просмотров
schedule 24.05.2022

Решетка ICE5LP4K FPGA: как добавить HFOSC в пользовательский vhdl
У меня проблемы с использованием внутреннего генератора для Lattice ICE5LP4K. Согласно Приложению к руководству по использованию осциллятора iCE40, код в Verilog должен выглядеть следующим образом: SB_HFOSC OSCInst0 (...
941 просмотров
schedule 25.06.2022

Решетка Diamond `включает не работает
Я использую Lattice Diamond, и у меня есть файл verilog с кучей операторов `define для определения глобальных констант. Я включаю этот файл «заголовка» в другой файл. Файл находит, но ошибка: "2049990 ОШИБКА -...
318 просмотров

Ошибка библиотеки MachX03 в Active-hdl для моделирования fpga
редактировать: я только что переустановил решетку алмаза и обновления, Active-hdl был установлен автоматически, но симуляция по-прежнему дает мне ту же ошибку. когда я меняю библиотеку machXO3; используйте machXO3.all; к библиотеке machXO2;...
402 просмотров
schedule 27.06.2022

Блок EBR в решетке Diamond
У меня чип MachXO3. Таблица данных семейства доступна здесь: http://www.latticesemi.com/~/media/LatticeSemi/Documents/DataSheets/MachXO23/DS1047-MachXO3-Family-Data-Sheet.pdf?document_id=50121 В даташите написано, что EBR состоит из 9-кбит на...
188 просмотров
schedule 13.02.2023