Вопросы по теме 'intel-fpga'

Linux не может обнаружить Altera FPGA
Ну, у меня есть Altera FPGA и USB-бластер. Я скачал quartus, но он не определяет FPGA, я попробовал с urjtag, и он отлично работает. Я попытался запустить его с помощью sudo, но снова то же самое. Помогите, пожалуйста
1640 просмотров
schedule 22.04.2023

работа над проектом с использованием Altera DE2-115, проект включает вывод вывода на экран
работаю над проектом с использованием Altera DE2-115, проект включает в себя отображение вывода на экране, мне трудно использовать VGA с Verilog, не могли бы вы показать или связать меня с очень простым рабочим примером, чтобы я мог понять концепцию...
2374 просмотров
schedule 12.05.2022

Системная консоль через JTAG не может выполнить master_write_32 — нельзя ли это сделать, пока работает цель?
У меня был простой контроллер, написанный на Verilog, который конфигурировал Altera TSE MAC. До этого момента все было довольно просто. Однако, что я хотел сделать дальше, так это установить множество настроек Marvel PHY, а также продолжать...
2246 просмотров

Программирование EP2C35F672C6 FPGA куплено
Я новичок в разработке ПЛИС и плат. В этом семестре я познакомился с Quartus II, VHDL и FPGA. Я загрузил несколько базовых проектов на доску DE2 , на котором есть FGPA EP2C35F672C6N. Однако каждый раз, когда я включаю плату, я должен повторно...
199 просмотров
schedule 09.11.2022

программа-счетчик (машинный код на ассемблере) для процессора MIPS с использованием quartus 2
Я написал код машинного уровня для счетчика, который должен увеличиваться до 15, а затем уменьшаться до 10 при достижении 15, а затем сбрасываться до 0 при достижении 10. Я написал эту программу в файлах .mif. Я использовал 2 файла .mif, один для...
1048 просмотров

HTTP-запрос в Verilog HDL
Я хочу отправить HTTP-запрос с помощью Verilog HDL, используя Altera D2-115. Я внедряю систему безопасности с использованием детекторов дыма и ИК-прерывателей, в случае тревоги система должна отправить HTTP-запрос для отправки электронной почты.
480 просмотров
schedule 31.07.2022

Проблемы преобразования поведения в структурные VHDL
Я разработал тест на простоту для алгоритма Рабина Миллера в поведенческом типе. Я использовал функции для создания своих модулей. К сожалению, когда я попытался синтезировать его своим Altera Kit через Quartus, я понял, что функция не...
676 просмотров
schedule 10.12.2022

ошибки компилятора при компиляции *.vhdl в библиотеку - Altera Quartus II
Я скачал пакет с плавающей запятой с сайта http://www.vhdl.org/fphdl/ . и сделал следующее: Я включил файлы math_utility_pkg.vhd, fixed_pkg_c.vhd и float_pkg_c.vhd в свой проект. Я назначил их библиотеке (следуя инструкциям в разделе...
794 просмотров

Ошибка (10822): не удалось реализовать регистры для назначений на этом фронте часов.
Я не могу найти, что я делаю неправильно, я был бы рад, если бы кто-нибудь мог мне помочь в этом... entity fsmF is port(S, R : in std_logic; Q : out std_logic); end; architecture FSM_beh of fsmF is begin process(S, R) begin...
6180 просмотров
schedule 22.05.2023

Почему мои ограничения set_output_delay вызывают предупреждения
Я пытаюсь понять некоторые предупреждения, которые я получаю в Altera's TimeQuest. Я начал со следующих ограничений в моем файле .sdc. set_output_delay -clock clk -max 3 [get_ports {data[*]}] set_output_delay -clock clk -min 1 [get_ports...
810 просмотров
schedule 28.05.2024

Невозможно разрешить несколько постоянных драйверов — два триггера должны изменить один и тот же вектор
Я знаю, что означает ошибка и почему это плохо, но не могу понять, как это сделать по-другому. Не удается разрешить несколько постоянных драйверов для сети "snake[17]" в змее_драйвере. (и другие такие же) Назначение состоит в том, что у...
568 просмотров
schedule 21.07.2022

Поддерживает ли Quartus II line.all?
Я реализовал некоторый код VHDL для экспорта кодировок состояний FSM во время компиляции, которые могут быть прочитаны Xilinx ChipScope. Эта функциональность протестирована с Xilinx ISE 14.7, iSim 14.7 и QuestaSim 10.2c от Mentor Graphic. Мой проект...
446 просмотров
schedule 21.05.2023

Как сгенерировать файлы .rbf в Altera Quartus?
Что такое файлы .rbf и как их сгенерировать из выходного файла Quartus .sof в Windows?
13741 просмотров
schedule 21.05.2022

Плата DE1-SoC FPGA для эволюционируемого оборудования
Я хотел бы воспроизвести эксперимент доктора Адриана Томпсона, который использовал генетический алгоритм для создания микросхемы (FPGA), которая может различать два разных звуковых сигнала чрезвычайно эффективным способом. Для получения...
322 просмотров
schedule 23.04.2022

Заявление VHDL IF в заявлении о случае
Как вы можете себе представить, увидев мой код прямо здесь, я новичок в VHDL, поэтому мне действительно интересно, почему это не работает, так как кажется, что это логически должно работать. На самом деле часть, которая ведет себя не так, как мне...
3526 просмотров
schedule 13.08.2022

Как выбрать конкретный PLL?
Altera FPGA Max10 имеет 4 доступных PLL (1-4). Вопрос: Есть ли способ определить, какой PLL я могу использовать для своего проекта, или как Quartus выбирает PLL? И какой тактовый вход для конкретного PLL я должен использовать для ADC1, когда у...
615 просмотров
schedule 21.02.2023

Невозможно создать .svf в Quartus Prime lite
У меня очень простой проект, который содержит всего один файл, он полностью соответствует требованиям. Для программирования устройства мне нужен файл, но в программаторе опция «Создать файл JAM, JBC, SVF или ISC ...» неактивна, поэтому я не могу ее...
341 просмотров
schedule 06.04.2022

Эмуляция альтернативного битового потока
У меня есть скомпилированный (двоичный) файл битового потока, который я просто хочу эмулировать в программном обеспечении. Linux, Mac, Windows... подходит все. Я знаю, что это, вероятно, займет много времени, но мне все равно, сколько времени это...
133 просмотров
schedule 29.05.2023

Простой флаг в VHDL [Ошибка 10820]
Я хочу разработать сниффер IIC на VHDL, и я борюсь с очень простым моментом. Чтобы сохранить его «последовательным», я хочу установить флаг после каждой части, которая будет выполняться сущностью. Теперь я хочу установить флаг в состоянии START...
1363 просмотров
schedule 28.10.2023

Конфигурация часов - кодирование VHDL чип аудиокодека Altera DE1
Я работаю над проектом, в котором от меня требуется кодировать микросхему аудиокодека WM8731 на плате Altera DE1. Проект очень простой. Нет необходимости обрабатывать входящие аудиосигналы. Сигнал со входа должен напрямую передаваться на выход....
645 просмотров
schedule 15.04.2023