Вопросы по теме 'ice40'

(ледяной шторм) контакты, не указанные в pcf, остаются высокоимпедансными
как заголовок, кроме того, как указать другой уровень напряжения для несимметричного входа / выхода, например, LVCMOS18 - я предполагаю, увы, что это не так просто, как просто дополнительный глагол в записи pcf ...
373 просмотров
schedule 26.10.2022

Разница в инициализации конечного автомата между симулятором и синтезатором
Мой вопрос касается первого состояния, используемого в синтезированном конечном автомате. Я работаю с Lattice iCE40 FPGA, EDA Playground для моделирования и Lattice's Diamond Programmer для синтеза. В следующем примере я генерирую серию сигналов...
86 просмотров
schedule 06.08.2022

возникли проблемы с настройкой двух контактов GB_IO
Я использовал следующий код, чтобы настроить fpga ICE40HX1K-VQ100 для ввода внешних часов: SB_GB_IO gb_io1 ( .PACKAGE_PIN( clk ), .GLOBAL_BUFFER_OUTPUT( gclk ) ); Это для контакта IOL_6B_GBIN7. Само по себе это работает...
169 просмотров
schedule 28.10.2022

Совместимые элементы Yosys и Synplify
Я хотел бы написать Verilog, который можно было бы синтезировать либо с помощью yosys (предпочтительно), либо с помощью цепочки инструментов Lattice Radiant с использованием Synplify (например, для зашифрованного IP-адреса из Lattice). Большинство...
92 просмотров
schedule 26.03.2022

Эквивалентный примитив Xilinx примитива ICE40 SB_IO?
У меня есть пример проекта в Verilog, который изначально был для ICE40 FPGA, я хочу импортировать его в Xilinx FPGA по причине ресурсов. В ICE40 есть этот примитив SB_IO, который определяет порты ввода/вывода. Я не совсем понимаю это и интересно,...
38 просмотров
schedule 02.03.2023