Вопросы по теме 'icarus'

Код тестовой среды Verilog с использованием gEDA и iVerilog
Мое задание - закодировать простой декодер от 2 до 4, а затем отобразить возможные результаты и форму волны. Я использую пакет gEDA вместе с Icarus Verilog (iVerilog) в качестве компилятора и GTKWave для формы волны. Я впервые пишу код на...
1193 просмотров
schedule 24.02.2024

просмотр сигнала с помощью сканирования
ПРИМЕЧАНИЕ: если я могу задать этот вопрос лучше, дайте мне знать! Я долго гуглил и не нашел ответа Я пытаюсь просмотреть вывод простого LUT счетчика/синуса с помощью средства просмотра сигналов scansion . Я использую icarus verilog для...
638 просмотров
schedule 04.08.2023

Как включить файлы в icarus verilog?
Я знаю базовую команду `include" filename.v ". Но я пытаюсь включить модуль, который находится в другой папке. Теперь этот модуль дополнительно включает в себя другие модули, находящиеся в той же папке. Но когда я пытаюсь запустить модуль на самом...
3017 просмотров

Неожиданное поведение Verilog Full Adder
Я пытаюсь сделать очень простой аппаратный модуль / тестовый стенд, чтобы получить представление о Verilog. Я пробовал реализовать полный сумматор. Если я не ошибаюсь, у вас есть три входа, немедленное добавление a и b и перенос с места 2 ^ n-1....
143 просмотров
schedule 30.07.2022