Вопросы по теме 'ghdl'

Это ошибка Ghdl/gtkwave?
Я использую ghdl+gtkwave для обучения в Windows 7. Я запускаю симуляции, вызывая некоторую оболочку в файле .bat, обычно, когда я получаю какую-то синтаксическую ошибку, я вижу сообщения в окне dos, но в некоторых случаях я не видел не понимаю,...
433 просмотров
schedule 11.02.2024

Запуск нескольких тестовых стендов для проектов VHDL
Всякий раз, когда я создаю дизайн VHDL, у меня обычно много модулей. Затем каждый из этих модулей подключается к одному основному файлу, и таким образом все синтезируется. Но я хочу написать отдельные тестовые стенды для каждого из этих модулей и...
750 просмотров

фактический должен быть вектором индексации статического имени в карте портов.
Я думаю, что эта ошибка является результатом того, что GHDL не поддерживает VHDL 2008. Ошибка возникает в строке 27/28, когда ff0 D присваивается значение из вектора din. Как правильно индексировать вектор из карты портов? Я создал count_temp,...
1849 просмотров
schedule 15.04.2023

когда изменение переменной в списке конфиденциальности запускает процесс в vhdl?
Мне трудно понять эффекты этого кода: Мой компонент: library IEEE; use IEEE.std_logic_1164.all; entity problem is port( clk : in std_logic; a : in std_logic); end problem; architecture impl of problem is signal a_sig : std_logic;...
443 просмотров
schedule 02.12.2022

Файл формата Ghdl не распознается
После разработки с ghdl я получаю следующую ошибку: e~hello_world.o: file not recognized: File format not recognized collect2.exe: error: ld returned 1 exit status C:\Users\Public\ghdl\bin\ghdl.exe: compilation error Имейте в виду, что я...
83 просмотров
schedule 12.12.2022