Вопросы по теме 'alu'

Когда ломать VHDL?
Хотя я неплохо умею писать VHDL , есть относительно простой вопрос, на который мне нужно ответить: когда разбивать VHDL ? Простой пример: скажем, я разрабатывал 8-битный ALU в VHDL , у меня есть несколько вариантов его VHDL реализации....
183 просмотров
schedule 10.04.2023

красный вывод запущенного тестового стенда на 4-битном ALU
Итак, я пытаюсь создать 4-битный ALU в Verilog, который выполняет умножение, сложение, сложение bcd и конкатенацию. Вот мой код: module alu4bit(A,B,S,Y); input [3:0] A, B; input [1:0] S; output [7:0] Y; reg [7:0] Y; wire [7:0] A0, A1, A2, A3;...
765 просмотров
schedule 20.11.2023

Алгоритм Add-Shift Right — как он работает?
Поэтому мне нужно построить базовый 4-битный мультипликатор, используя только «Добавить» и «Сдвинуть вправо». Я в основном знаю, как работает алгоритм Shift Add, но я не знаю, как заставить его работать с правым сдвигом вместо левого. С технической...
846 просмотров

Дизайн 32-битного арифметико-логического блока (АЛУ)
Я пишу этот кодер для ALU. Этот ALU управляется сигналами ctrl и выполняет некоторые действия, такие как сложение, вычитание и, или, ... Когда выход равен нулю, сигналы oZero должны быть активными. У меня есть ошибки в отмеченных строках. В...
10313 просмотров
schedule 30.04.2022

понимание кода vhdl и потока 4-битного ALU?
Я делаю 4-битный ALU, здесь я объявил сущности entity ALU is Port ( a : in STD_LOGIC_VECTOR (3 downto 0);); end ALU; не могли бы вы объяснить, как работает логический векторный массив, я имею в виду синтаксис a : in...
376 просмотров
schedule 19.08.2022

Сделать арифметико-логическое устройство в vhdl
Мне нужно сделать арифметико-логическое устройство на VHDL для pic16f684. Итак, инструкции для ALU можно найти в даташите на pic16f684. Инструкции, которые мне нужно сделать, следующие: Это инструкции Это мой код до сих пор, но я получаю,...
932 просмотров
schedule 25.12.2022

Создание 16-битного АЛУ из 16 1-битных АЛУ (структурный код)
Я создал структурный и поведенческий код для 1-битного АЛУ, а также схему управления. Схема управления определяет операцию, которая будет выполняться между двумя переменными: a, b. Вот моя поведенческая часть кода: library ieee; use...
3023 просмотров
schedule 22.11.2022

Добавить инструкцию больше, чем немедленная, в MIPS
Это вопрос домашнего задания, и я застрял, потому что не знаю, как оценить, больше ли значение, чем другое. Вот инструкция, которую мне нужно добавить : Инструкция sgti, установленная больше, чем немедленная, позволяет установить регистр в 1,...
232 просмотров
schedule 05.02.2024