Vivado SoC Zynq: создание собственного IP-адреса

Я пытаюсь работать над этим лабораторным пособием по созданию собственного IP-адреса в Vivado. Может ли кто-нибудь объяснить мне значение кодов на странице 6, шаг 1-3-9. Я действительно не понимаю смысла этого синтаксиса.

Ссылка: http://www.dc.uba.ar/materias/CoDisenio/2015/c2/descargas/lab3.pdf

Вот код:

lab3_user_logic # (
.LED_WIDTH(LED_WIDTH)
)
U1(
.S_AXI_ACLK(S_AXI_ACLK) , 
.slv_reg_wren(slv_reg_wren) , 
.axi_awaddr(axi_awaddr[C_S_AXI_ADDR_WIDTH-1:ADDR_LSB]) ,
.S_AXI_WDATA(S_AXI_WDATA) , 
.S_AXI_ARESETN(S_AXI_ARESETN),
.LED(LED)
);

Большое спасибо.


person Nguyễn Thanh Vũ    schedule 25.11.2016    source источник
comment
Вы используете тег VHDL, а фрагмент кода выглядит как Verilog,   -  person    schedule 26.11.2016
comment
Я ничего не знаю о коде Verilog. Не могли бы вы дать мне краткое объяснение того, что означают эти коды?   -  person Nguyễn Thanh Vũ    schedule 23.12.2016
comment
Вы можете загрузить IEEE Std 1800-2012 для личного использования. бесплатно. См. Приложение A.4.1.1 Создание экземпляра модуля с объяснением синтаксиса. Первая часть (#(...)), содержащая назначение значения параметра, является эквивалентом универсальной карты. Вторая часть представляет собой иерархический экземпляр со списком соединений портов с именованными соединениями портов (например, аспект карты портов VHDL). См. также раздел 23 «Модули и иерархия», 23.3 «Экземпляры модуля (иерархия)».   -  person    schedule 23.12.2016


Ответы (1)


Модуль lab3_user_logic создается в приведенном выше коде. Сегмент кода между "# (" и ")" указывает параметры, установленные для этого экземпляра. Имя U1 — это имя экземпляра. Все строки кода ниже — это сигналы ввода-вывода (порты) модуля. Они либо сэмплируются, либо управляются сигналами, к которым они подключены, в области, где присутствует U1.

С уважением, Бхарадвадж

person MSB    schedule 11.05.2018