Как получить время следующего события в SystemC

Есть ли в SystemC функция, которая возвращает время следующего события? Или если не существует, то как его реализовать?

Например, у меня есть модель часов с частотой 1 МГц, и я запускаю модель с sc_start(100, SC_NS). Следующее запланированное событие на 500 нс.

Код выглядит следующим образом:

..
sc_start(100, SC_NS);
next_time = get_next_event_time(); //get_next_event_time() should return with 500
..

person jsmith    schedule 11.10.2016    source источник


Ответы (1)


Вы можете использовать sc_time_to_pending_activity(), чтобы получить время до самой ранней ожидающей активности. Дополнительные сведения см. в IEEE Std 1666-2011 по адресу 4.5.7 Функции для обнаружения ожидающих действий

person Guillaume    schedule 11.10.2016