Моделирование не работает - неправильное сопоставление портов?

код VHDL

Во-первых, извините за редирект, но так проще. Я строю цифровые часы, но, как видите, clock_AN и clock_seg_out не меняются. Это вызвано неправильным сопоставлением портов? Спасибо!


person Ashwin Mertes    schedule 30.08.2010    source источник


Ответы (1)


Ваши основные часы ввода слишком медленные. Глядя на делитель частоты cct, похоже, что вы запрограммировали его на деление тактовой частоты 100 МГц. Так что либо:

  • ускорить основные часы тестового стенда
  • или установите цель делителя на меньшее число для целей отладки

Используйте № 2, если вы хотите разумное время сима!

person Marty    schedule 30.08.2010
comment
До какой скорости? Потому что я пытался понизить его, но он немного не изменился. - person Ashwin Mertes; 30.08.2010
comment
Что ж, если вы посмотрите на код в том виде, в котором он у вас есть сейчас, с вашими секундами ничего не произойдет, пока счетчик в вашем делителе частоты не достигнет 100 миллионов! Попробуйте уменьшить целевой номер счетчика до чего-то низкого, например 2 или 3, для отладки... - person Marty; 30.08.2010
comment
Марти, я изменил диапазон счета на 2, но мои AN и seg_out не изменились. Мой тестовый стенд такой: reset ‹= '1'; подождите 5 нс; сброс ‹= '0'; подождите 5 нс; set_time ‹= '1'; ждать 1 нс; set_time ‹= '0'; -- 40 секундen enable_min ‹= '0'; enable_hour ‹= '0'; ждать 1000 нс; enable_min ‹= '1'; -- 11 минутen enable_hour ‹= '0'; ждать 3700 нс; enable_min ‹= '0'; -- 21 uur enable_hour ‹= '1'; подождите 200 нс; Я позволил симуляции работать около 10000 нс. - person Ashwin Mertes; 30.08.2010
comment
Вы написали код — вы в лучшем положении для его отладки! Например, все ли счетчики, которые вы ожидаете считать, действительно работают? Если нет, отследите управляющие и тактовые сигналы, чтобы понять, почему нет. Часы умерли? Достигает ли разрыв цели? Счетчики не работают, а часы живы? Какие-либо управляющие сигналы не установлены должным образом? - person Marty; 30.08.2010
comment
Марти, ты был прав! Модельсим вел себя странно. Я понизил значения, и теперь это работает. Благодарю вас! - person Ashwin Mertes; 30.08.2010