Ошибка в генераторе системы blackbox xilinx

Я использую блоки генератора системы Xilinx в Matlab, и я нашел черный ящик блока, который может генерировать и моделировать код VHDL. Я программирую простую программу в vhdl для порта и,

--import std_logic from the IEEE library
library ieee;
use ieee.std_logic_1164.all;

--ENTITY DECLARATION: name, inputs, outputs
entity andGate is                   
   port( A, B : in std_logic;
            F : out std_logic);
end andGate;

--FUNCTIONAL DESCRIPTION: how the AND Gate works
architecture func of andGate is 
begin
  F <= A and B;     
end func;

Я моделирую в xilinx с помощью черного ящика и делаю режим симуляции ISE Simulator, потому что использую xilinx.

Я ценю любую помощь, спасибо :)


person Marie    schedule 11.05.2015    source источник
comment
Не могли бы вы уточнить, в чем заключается ваша проблема?   -  person brodoll    schedule 11.05.2015
comment
Спасибо за ваш ответ, я использую черный ящик из системного генератора xilinx в Matlab, но сообщение об ошибке «Ошибка, о которой сообщает S-функция« sysgen »в« essai1 / Black Box »: в библиотеке Xilinx Blockset произошла внутренняя ошибка». не знаю, почему мой код в vhdl опубликован, спасибо, как я могу использовать черный ящик ?? есть какая-то специфика спасибо   -  person Marie    schedule 11.05.2015
comment
Это в основном утверждение, не могли бы вы хотя бы описать проблему, которую пытаетесь решить?   -  person    schedule 11.05.2015
comment
Есть ли кто-нибудь, кто использовал блочный черный ящик от генератора систем Matlab xilinx ??   -  person Marie    schedule 11.05.2015


Ответы (1)


зарегистрируйте свой шлюз, вы должны выбрать его вывод как Boolean

также проверьте время выборки системы, вы должны сделать все равным 1

person Serwan Bamerni    schedule 14.05.2016
comment
Это может быть комментарий, а не ответ. Вы можете добавить дополнительное описание, чтобы сделать его хорошим ответом - From Review - person Raju; 14.05.2016