Verilog-A и Verilog; Они одинаковы?

  • Verilog-A - это то же самое, что Verilog?
  • Есть ли компилятор для тестирования Verilog-A?

Потому что, когда я просто вставляю исходный код Verilog-A в ModelSim, всегда возникают ошибки, которые невозможно удалить. Может ли ModelSim запускать Verilog-A?


person user3625354    schedule 11.05.2014    source источник


Ответы (4)


Verilog-A и Verilog связаны, но очень разные.

Verilog был стандартизирован первым и обычно предназначен для описания поведения цифровых схем и тестовых стендов. Verilog управляется событиями. Аналоговое поведение можно смоделировать на испытательных стендах, но моделирование аналогового поведения может быть затруднено.

Verilog-a был стандартизирован позже и был основан на синтаксисе verilog. Он предназначен для моделирования аналоговых схем. Эти два языка несовместимы, так как оба имеют конструкции, не поддерживаемые другим. Verilog-a может моделировать поведение в непрерывном времени, как симуляторы специй / призраков. Он не очень хорошо моделирует цифровое поведение. Modelsim или другие «симуляторы Verilog» не поддерживают Verilog-a - он в основном поддерживается симуляторами Spice.

Verilog-AMS - это эволюция Verilog-a, которая позволяет как аналоговым, так и цифровым конструкциям сосуществовать в одном файле / блоке. Однако он снова поддерживает только подмножество современного Verilog. Он не поддерживается стандартными симуляторами Verilog - опять же, поддержка больше в симуляторах аналогового / spice / specter.

person John McGrath    schedule 14.01.2015

  1. Verilog-A HDL является производным от спецификации IEEE 1364 Verilog HDL, но это не одно и то же.
  2. Я думаю, что этот вопрос поможет вам найти то, что вы ищете.
person Qiu    schedule 11.05.2014

Verilog - устаревший стандарт для описания цифрового оборудования. Формально последней версией была IEEE 1364-2005. Он был заменен SystemVerilog (IEEE 1800-2017). Несмотря на то, что он устарел, многие инструменты все еще поддерживают его.

Verilog-A - это аналоговое расширение. В отличие от своего цифрового аналога, язык предназначен только для проверки (моделирования), его нельзя синтезировать. Он был стандартизирован торговой ассоциацией Open Verilog International. Позже стандарт был включен как подмножество Verilog-AMS (расширения аналоговых и смешанных сигналов для Verilog).

Если вы хотите смоделировать Verilog-A, вам понадобится подходящий симулятор. Это может быть симулятор смешанных сигналов (например, VCS AMS, Questa ADMS или Allegro AMS). В качестве альтернативы большинство коммерческих симуляторов SPICE поддерживают Verilog-A.

person Paul Floyd    schedule 07.07.2017

Verilog-A - это не то же самое, что Verilog.

Verilog используется для описания цифровых схем, тогда как Verilog-A используется для моделирования поведения аналоговых схем.

Verilog работает на симуляторах, управляемых событиями, тогда как Verilog-A требует постоянного времени, похожего на пряность симулятора.

Симуляторы, управляемые событиями, на порядки быстрее, чем имитаторы непрерывного времени, потому что, в отличие от последних, имитаторы, управляемые событиями, не требуют вычисления напряжений и токов для каждого узла схемы. Сигналы абстрагируются как 1 и 0, и чистая оценка запускается только при обновлении драйвера.

Мне неизвестны бесплатные симуляторы, поддерживающие Verilog-A и / или Verilog-AMS (разновидность смешанных сигналов или Verilog).

С другой стороны, верилятор - отличный выбор для (цифрового) моделирования Verilog. Он также поддерживает некоторые конструкции Verilog-AM, такие как wreal.

person ANum    schedule 18.03.2021