HTTP-запрос в Verilog HDL

Я хочу отправить HTTP-запрос с помощью Verilog HDL, используя Altera D2-115. Я внедряю систему безопасности с использованием детекторов дыма и ИК-прерывателей, в случае тревоги система должна отправить HTTP-запрос для отправки электронной почты.


person Joseph Wahba    schedule 20.12.2013    source источник
comment
Это не верилогский вопрос, чувак. Все, что вам нужно, это система с Ethernet, а затем отправленный HTTP-запрос. Пожалуйста, опишите больше информации об этом.   -  person Khanh N. Dang    schedule 20.12.2013
comment
Использование ardiuno или другой системы, которая взаимодействует между сигналом низкого уровня и протоколами более высокого уровня, значительно упростит это, иначе вам придется очень много реализовывать в verilog.   -  person Morgan    schedule 20.12.2013
comment
В настоящее время я использую Altera Board DE2-115, и я новичок в этом, это необходимо для проекта в моем университете, поэтому, если бы вы могли сказать мне, как именно использовать Ethernet для отправки HTTP-запроса в ALTERA, который бы будь классным :)   -  person Joseph Wahba    schedule 20.12.2013


Ответы (1)


Вы хотите использовать FPGA для решения задачи, которая гораздо лучше подходит для SOC.

Тем не менее, вы можете превратить свою ПЛИС в SOC: создайте экземпляр процессора NIOS-II внутри вашего устройства Altera, подключите его к соответствующим устройствам, таким как ОЗУ, флэш-ПЗУ, слот SD для постоянного хранения и, конечно же, чип Ethernet. и написать программу для процессора NIOS-II, которая будет отправлять HTTP-запрос через чип Ethernet. Это означает, что вам придется включить в свою программу стек TCP/IP, чтобы фактически отправлять любую информацию в Интернет, получать любой ответ и управлять квитированием. Это не должен быть полный стек, только TCP, IP и, возможно, ICMP и ARP. УДП не нужен.

Если использование платы DE2-115 является личным вариантом и не навязывается, вы можете поискать микроконтроллер с готовым стеком TCP/IP и поддержкой Ethernet. Обычный Raspberry Pi очень хорошо подходит (и намного дешевле), даже если вам на самом деле не нужна полная система Linux.

Попытка сделать все, используя только Verilog, будет означать:

  • Напишите проект FSM, который управляет микросхемой Ethernet, возможно, используя SPI для параметров конфигурации и т.п.
  • Этот FSM взаимодействует с большим FSM, предоставляющим стек TCP/IP. Это действительно выполнимо, но сам по себе огромный проект.
  • Этот FSM, в свою очередь, должен взаимодействовать с другим FSM, который реализует протокол HTTP для отправки HTTP-запроса на удаленный хост.
  • И этот FSM будет запущен простым FSM, который отвечает за опрос ИК-датчиков и детекторов дыма. Это единственная часть, которую, как мне кажется, можно сделать в виде цифровой системы на Verilog.
person mcleod_ideafix    schedule 20.12.2013