Я пытаюсь добавить десятичное значение к 10-битному std_logic_vector, не описывая каждый бит. Хотя в данном конкретном сценарии это может не стоить хлопот, я считаю, что в будущем будет очень полезно узнать об этом. Пока у меня есть:
signal h_cnt : std_logic_vector(9 downto 0);
... --code
h_cnt <= std_logic_vector(to_unsigned(9, 10));
Я получаю сообщение об ошибке:
* Ошибка (10482): ошибка VHDL в vhdl_vga.vhd (70): объект «to_unsigned» используется, но не объявлен *
может ли кто-нибудь помочь мне с этим?
заранее спасибо