VHDL присваивает десятичные значения std_logic_vector

Я пытаюсь добавить десятичное значение к 10-битному std_logic_vector, не описывая каждый бит. Хотя в данном конкретном сценарии это может не стоить хлопот, я считаю, что в будущем будет очень полезно узнать об этом. Пока у меня есть:

    signal h_cnt : std_logic_vector(9 downto 0);
    ... --code
    h_cnt <= std_logic_vector(to_unsigned(9, 10));

Я получаю сообщение об ошибке:

* Ошибка (10482): ошибка VHDL в vhdl_vga.vhd (70): объект «to_unsigned» используется, но не объявлен *

может ли кто-нибудь помочь мне с этим?

заранее спасибо


person Laserbeak43    schedule 09.09.2012    source источник


Ответы (1)


Мне пришлось заменить библиотеки, которые я использовал.

    use ieee.numeric_std.all;

это то, что мне нужно.

person Laserbeak43    schedule 09.09.2012
comment
Ну вы сами ответили на вопрос :) - person FarhadA; 10.09.2012
comment
loool, я забыл, что ответил на него, просто было любопытно посмотреть, задал ли я вопрос, на который был дан ответ, и на который я не ответил, я увидел ответ и был просто счастлив увидеть его! ржу не могу - person Laserbeak43; 12.09.2012